当前位置: 移动技术网 > 科技>人工智能>机器学习 > 【计算机体系结构 / 并行与分布计算 / 存储系统】 2019年-中国计算机学会推荐国际学术会议和期刊目录(一)

【计算机体系结构 / 并行与分布计算 / 存储系统】 2019年-中国计算机学会推荐国际学术会议和期刊目录(一)

2020年07月10日  | 移动技术网科技  | 我要评论

1.中国计算机学会推荐国际【学术期刊

计算机体系结构 / 并行与分布计算 / 存储系统

(1)A类

序号 刊物简称 刊物全称 出版社 网址
1 TOCS ACM Transactions on Computer Systems  ACM
2 TOS ACM Transactions on Storage  ACM
3 TCAD IEEE Transactions on Computer-Aided Design of 
Integrated Circuits And System 
IEEE
4 TC IEEE Transactions on Computers  IEEE
5 TPDS IEEE Transactions on Parallel and Distributed Systems  IEEE

(2)B类

序号 刊物简称 刊物全称 出版社 网址
1 TACO ACM Transactions on Architecture and Code Optimization  ACM
2 TAAS ACM Transactions on Autonomous and Adaptive Systems  ACM
3 TODAES ACM Transactions on Design Automation of Electronic Systems  ACM
4 TECS ACM Transactions on Embedded Computing Systems  ACM
5 TRETS ACM Transactions on Reconfigurable Technology and Systems  ACM
6 TVLSI IEEE Transactions on Very Large Scale Integration (VLSI) Systems  IEEE
7 JPDC Journal of Parallel and Distributed Computing  Elsevier
8 JSA Journal of Systems Architecture: Embedded Software Design  Elsevier
9 PARCO Parallel Computing  Elsevier
10   Performance Evaluation: An International Journal  Elsevier

(3)C类

序号 刊物简称 刊物全称 出版社 网址
1 JETC ACM Journal on Emerging Technologies in 
Computing Systems 
ACM
2   Concurrency and Computation: Practice and 
Experience 
Wiley
3 DC Distributed Computing  Springer
4 FGCS Future Generation Computer Systems  Elsevier
5 TCC IEEE Transactions on Cloud Computing  IEEE https://dblp.uni-trier.de/db/journals/tcc/ 
6 Integration Integration, the VLSI Journal  Elsevier
7 JETTA Journal of Electronic Testing-Theory and 
Applications 
Springer
8 JGC Journal of Grid computing  Springer https://dblp.uni-trier.de/db/journals/grid/ 
9 MICPRO Microprocessors and Microsystems: Embedded 
Hardware Design 
Elsevier
10 RTS Real-Time Systems  Springer 
11 TJSC The Journal of Supercomputing  Springer 

2.中国计算机学会推荐国际【学术会议

计算机体系结构 / 并行与分布计算 / 存储系统

(1)A类

序号 会议简称 会议全称 出版社 网址
1 PPoPP ACM SIGPLAN Symposium on Principles & 
Practice of Parallel Programming 
ACM 
2 FAST  Conference on File and Storage Technologies  USENIX 
3 DAC Design Automation Conference  ACM  https://dblp.uni-trier.de/db/conf/dac/ 
4 HPCA High Performance Computer Architecture  IEEE 
5 MICRO IEEE/ACM International Symposium on 
Microarchitecture 
IEEE/ACM  https://dblp.uni-trier.de/db/conf/micro/ 
6 SC International Conference for High Performance Computing, Networking, Storage, and Analysis  IEEE 
7 ASPLOS International Conference on Architectural Support for Programming Languages and Operating Systems  ACM 
8 ISCA International Symposium on Computer Architecture  ACM /IEEE 
9 USENIX ATC  USENIX Annul Technical Conference  USENIX 

(2)B类

序号 会议简称 会议全称 出版社 网址
1 SoCC ACM Symposium on Cloud Computing  ACM
2 SPAA ACM Symposium on Parallelism in Algorithms and Architectures  ACM
3 PODC ACM Symposium on Principles of Distributed Computing  ACM
4 FPGA ACM/SIGDA International Symposium on Field-Programmable 
Gate Arrays 
ACM
5 CGO Code Generation and Optimization  IEEE/ACM 
6 DATE Design, Automation & Test in Europe  IEEE/ACM 
7 EuroSys European Conference on Computer Systems  IEEE/ACM 
8 HOT CHIPS  Hot Chips: A Symposium on High Performance Chips  IEEE 
9 CLUSTER IEEE International Conference on Cluster Computing  IEEE  https://dblp.uni-trier.de/db/conf/cluster/ 
10 ICCD International Conference on Computer Design  IEEE 
11 ICCAD International Conference on Computer-Aided Design  IEEE/ACM 
12 ICDCS International Conference on Distributed Computing Systems  IEEE 
13 CODES+ISSS International Conference on Hardware/Software Co-design and 
System Synthesis 
ACM/ IEEE 
14 HiPEAC International Conference on High Performance and Embedded 
Architectures and Compilers 
ACM
15 SIGMETRICS International Conference on Measurement and Modeling of 
Computer Systems 
ACM
16 PACT International Conference on Parallel Architectures and 
Compilation Techniques 
IEEE/ACM 
17 ICPP International Conference on Parallel Processing   
18 ICS International Conference on Supercomputing  ACM
19 VEE International Conference on Virtual Execution Environments  ACM
20 IPDPS International Parallel & Distributed Processing Symposium  IEEE 
21 Performance International Symposium on Computer Performance Modeling, 
Measurements and Evaluation 
ACM 
22 HPDC International Symposium on High Performance Distributed 
Computing 
IEEE
23 ITC International Test Conference  IEEE
24 LISA Large Installation System Administration Conference  USENIX
25 MSST Mass Storage Systems and Technologies  IEEE
26 RTAS Real-Time and Embedded Technology and Applications 
Symposium 
IEEE

(3)C类

序号 会议简称 会议全称 出版社 网址
1 CF  ACM International Conference on Computing Frontiers  ACM 
2 SYSTOR ACM International Systems and Storage Conference  ACM 
3 NOCS ACM/IEEE International Symposium on Networks-on-Chip  ACM/IEEE 
4 ASAP Application-Specific Systems, Architectures, and Processors  IEEE 
5 ASP-DAC  Asia and South Pacific Design Automation Conference  ACM/IEEE 
6 Euro-Par  European Conference on Parallel and Distributed Computing  Springer 
7 ETS  European Test Symposium  IEEE 
8 FPL Field Programmable Logic and Applications  IEEE 
9 FCCM Field-Programmable Custom Computing Machines  IEEE 
10 GLSVLSI Great Lakes Symposium on VLSI  ACM/IEEE 
11 ATS IEEE Asian Test Symposium  IEEE 
12 HPCC IEEE International Conference on High Performance 
Computing and Communications 
IEEE 
13 HiPC IEEE International Conference on High Performance 
Computing, Data and Analytics 
IEEE/ ACM 
14 MASCOTS IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems  IEEE 
15 ISPA IEEE International Symposium on Parallel and Distributed 
Processing with Applications 
IEEE 
16 CCGRID IEEE/ACM International Symposium on Cluster, Cloud and 
Grid Computing 
ACM/IEEE 
17 NPC IFIP International Conference on Network and Parallel 
Computing 
Springer 
18 ICA3PP International Conference on Algorithms and Architectures for 
Parallel Processing 
IEEE 
19 CASES International Conference on Compilers, Architectures, and 
Synthesis for Embedded Systems 
ACM 
20 FPT International Conference on Field-Programmable Technology  IEEE 
21 ICPADS International Conference on Parallel and Distributed Systems  IEEE 
22 ISCAS International Symposium on Circuits and Systems  IEEE 
23 ISLPED International Symposium on Low Power Electronics and 
Design 
ACM/IEEE 
24 ISPD International Symposium on Physical Design  ACM 
25 HotI Symposium on High-Performance Interconnects  IEEE 
26 VTS VLSI Test Symposium  IEEE 

参考资料:中国计算机学会推荐国际学术会议和期刊目录(2019)

本文地址:https://blog.csdn.net/Aibiabcheng/article/details/107215765

如对本文有疑问, 点击进行留言回复!!

相关文章:

验证码:
移动技术网