当前位置: 移动技术网 >

fpga

  (共找到 42 条与 fpga 相关的信息)

Intel发全新Agilex FPGA:10nm 3D封装、支持DDR5/PCIe 5.0

2019-04-04 08:42 | 评论:0 次 | 浏览: 0

intel全新的agilex fpga(现场可编程门阵列)今天正式问世,相比以往的straix系列做了大量创新升级,可为边缘计算、嵌入式、网络(5g/nfv)、数据中心

Intel收购FPGA供应商Omnitek:收获220多个IP内核

2019-04-19 11:33 | 评论:0 次 | 浏览: 0

2015年,intel斥资167亿美元收购了fpga市场巨头altera,和赛灵思正面对决,最近还推出了重磅产品agilex fpga。这是第一款集成了intel几乎所

赛灵思发布世界最大FPGA芯片:350亿晶体管

2019-08-22 06:41 | 评论:0 次 | 浏览: 0

赛灵思(xilinx)今天宣布推出世界最大的fpga芯片“virtex ultrascale+ vu19p”,拥有多达350亿个晶体管,密度在同

历史性第一次!国产FPGA打入日本市场

2019-08-27 06:38 | 评论:0 次 | 浏览: 0

作为全球发展最快的fpga(可编程逻辑)公司,广东高云半导体今天宣布,已经签约日本丸文株式会社成为为其日本经销商,进一步拓展全球销售网络。 这次签约具有里程碑一般的意

Intel开始出货10nm Agilex FPGA:DDR5、PCIe 5.0

2019-08-31 06:41 | 评论:0 次 | 浏览: 0

intel 10nm工艺虽然有些姗姗来迟,但是布局深广,包括面向笔记本和服务器的ice lake、3d立体封装的lakefield、面向5g基础设施的snow ridg

GPU 编程相关 简要摘录

2019-08-31 17:56 | 评论:0 次 | 浏览: 0

GPU 编程可以称为异构编程,最近由于机器学习的火热,很多模型越来越依赖于GPU来进行加速运算,所以异构计算的位置越来越重要;异构编程,主要是指CPU+GPU或者CPU+其他设备(FPGA等)协同计算。当前的计算模型中,CPU主要用来进行通用计算,其更多的是注重控制,我们可以通过GPU和FPGA等做 ...

Intel出货Stratix 10 DX FPGA:支持PCIe 4.0 x16

2019-09-21 06:37 | 评论:0 次 | 浏览: 0

intel今天宣布,正式出货全新stratix 10 dx fpga(现场可编程逻辑门阵列),支持pcie 4.0 x16、upi超路径互连总线、新的傲腾控制器,可提供

Intel发布全球容量最大FPGA:14nm 443亿晶体管超AMD 64核霄龙

2019-11-07 06:40 | 评论:0 次 | 浏览: 0

intel今天宣布推出全球容量最大的fpga stratix 10 gx 10m,在70×74毫米的封装面积内拥有多达1020万个逻辑单元,是此前最大str

Linux低延迟服务器系统调优

2019-11-26 15:33 | 评论:0 次 | 浏览: 0

最近做了一些系统和网络调优相关的测试,达到了期望的效果,有些感悟。同时,我也发现知乎上对Linux服务器低延迟技术的讨论比较欠缺(满嘴高并发现象);或者对现今cpu + 网卡的低延迟潜力认识不足(动辄FPGA现象),比如一篇知乎高赞的介绍FPGA的文章写到“从延迟上讲,网卡把数据包收到 CPU,CP ...

赛灵思发布史上最强ACAP芯片:7nm、还有PCIe 5.0

2020-03-12 05:36 | 评论:0 次 | 浏览: 0

2018年10月16日,fpga大厂赛灵思(xilinx)在北京的“xilinx开发者大会 ”(xdf)上,发布了全球首款自适应计算加速平台(a

FPGA加速技术与解决方案提供商「联捷科技」完成A+轮融资,青桐资本担任财务顾问

2020-04-22 15:21 | 评论:0 次 | 浏览: 0

今日,FPGA加速技术与解决方案提供商「联捷科技(CTAccelLimited)」宣布完成A+轮融资,投资方为君盛投资,青桐资本担任财务顾问。本轮资金将主要用于产品的进一步研发及市场拓展。

简单易懂的AXI_Lite 总线详解

2020-07-09 16:34 | 评论:0 次 | 浏览: 0

简单易懂的AXI_Lite 总线详解1、前言AXI_LITE协议主要应用于Xilinx的ZYNQ芯片构架下的ARM和FPGA之间的数据读写,更偏向于单个寄存器的读写。2、AXI总线与ZYNQ的关系AXI(Advanced eXtensible Interface)本是由ARM公司提出的一种总线协议,Xilinx从6系列的FPGA开始对AXI总线提供支持,此时AXI已经发展到了AXI4这个版本,所以当你用到Xilinx的软件的时候看到的都是“AIX4”的IP,如Vivado打包一个AXI IP的时候,

创龙TI KeyStone C66x多核定点/浮点DSP TMS320C665x的SFP光纤接口

2020-07-09 17:39 | 评论:0 次 | 浏览: 0

处理器基于TI KeyStone C66x多核定点/浮点DSP TMS320C665x+ Xilinx Artix-7FPGA处理器,TMS320C665x主频为1.0G/1.25GHz,单核运算能力高达40GMACS和20GFLOPS,FPGAXC7A100T逻辑单元101K个,DSP Slice 240个拥有多种工业接口资源,其CPU功能框图:SFP光纤接口SFP光纤接口由SFP1(CON14)和SFP2(CON19)组成(FPGA端),传输速率可高达5Gbit/s,硬件及引脚...

BH1750光线传感器verilog驱动代码FPGA开发

2020-07-13 17:41 | 评论:0 次 | 浏览: 0

之前毕业设计写过的用在FPGA上的BH1750温湿度传感器verilog驱动代码,亲测可用,有什么问题欢迎留言。module BH1750_drive(inputclk,//输入时钟=50Minput rst,//输入复位信号,低电平有效output scl,//输出I2C时钟管脚inout sda,//I2C数据管脚output dvi,//BH1750DVI上电配置管脚output[15:0

数字前端和后端设计工程师需要具备什么能力

2020-07-17 14:44 | 评论:0 次 | 浏览: 0

数字前端后端区别 下面转自:http://blog.sina.com.cn/s/blog_875c3b2f01010pi6.htmlIC前端主要是数字前端设计、软件硬件验证、FPGA验证...

利用UltraScale和UltraScale+FPGA和MPSOC加速DSP设计生产力

2020-07-17 15:13 | 评论:0 次 | 浏览: 0

利用UltraScale和UltraScale+FPGA和MPSOC加速DSP设计生产力Accelerating DSP Design Productivity with UltraScale and UltraScale+ FPGAs and MPSoCs由于其固有的灵活性,Xilinx fpga和soc是高性能或多通道数字信号处理(DSP)应用的理想选择,可以利用硬件并行性。Xilinx FPGA和SOC将这种处理带宽与全面的解决方案相结合,包括为硬件设计师、软件开发人员和系统架构师提供的易于使用的

ZYNQ 双核运行并交互,一个linux,一个裸核

2020-07-17 16:58 | 评论:0 次 | 浏览: 0

zynq 7000 一般有2个cpu (arm A9),我们一般都用一个cpu0,本实验让2个cpu 都运行起来,cpu0 运行操作系统petalinux 2018.2, cpu1: 裸机流水灯。同时通过共享内存的方式,实现2个核之间的交互。

【转】FPGA真的很难学详细解答

2020-07-19 11:34 | 评论:0 次 | 浏览: 0

如果想速成,那就上网看视频吧,这样主要是面对应用的,一个小时内让你的板子运行起来。早期起来的快,活学活用,就是后期没有系统理论支持,会有些吃力,特别是大项目,那完全是个悲剧。国内做的可以的,周立功算一个了,艾米电子也可以。这两家都有学习板,不过后者的教程抄袭的前者的。前者功底深厚些,资金不紧张就买前者吧。速成的话,数电书一定一定必备,边看边学比较好,其余的书可以适量买点。前方知识点高能预警...

一文解决关于建立时间和保持时间的困惑

2020-07-19 14:07 | 评论:0 次 | 浏览: 0

公众号【TechDiary】,专注技术分享,手把手教你成为技术大神之前介绍数字电路复位信号设计的文章中有提到过很多次建立时间、保持时间的概念,事实上建立/保持时间(setup time & hold time)是同步电路设计中基石一般的存在,因此也成为各大IC公司笔面试当中的必考题。当然刚入门FPGA方向的同学可能对这个概念陌生一点,但是如果想要在FPGA方向有所研究的话,处理建立保持时间违例(Vivado中体现的变量名为WNS & TNS & WHS & THS)是最

第一课,初识FPGA

2020-07-19 16:24 | 评论:0 次 | 浏览: 0

FPGA与单片机的区别FPGA属于硬件底层范围,可以这么说,单片机能实现的功能FPGA都能实现FPGA厂商FPGA制造商Xilinx(赛灵思)Altera(阿尔特拉,现已被Intel收购)Lattice(莱迪思)Microsemi(美高森美)FPGA的优势运行速度快:FPGA内部集成了锁相环,可以实现背频,核心频率可以达到几百兆FPGA引脚多,适合大规模系统设计FPGA内部程序并行执行,工作效率高FPGA包含大量IP核,方便开发:包含大量IP核,这样的话有些外设就不用编写驱动程序

FPGA/verilog 学习笔记(1)—— FPGA和HDL基础概念

2020-07-20 14:35 | 评论:0 次 | 浏览: 0

文章目录一、什么是FPGA1. 电路基本知识2. 可编程逻辑器件PLD3. 什么是FPGA二、什么是HDL(硬件描述语言)1. 数字系统设计流程2. 什么是HDL一、什么是FPGA1. 电路基本知识模拟电路工作在模拟信号下的电子电路。模拟信号是在时间和数量上的变化都是连续的信号。数字电路工作在数字信号下的电子电路。数字信号是在时间和数量上的变化都是“离散”的信号。最基本的单位是 “门电路”2. 可编程逻辑器件PLD早期生产的数字集成电路(比如单片机)的逻辑功能都是固

基于FPGA的HDMI图片显示

2020-07-20 14:51 | 评论:0 次 | 浏览: 0

第一次写博客,就当是练手了吧QAQ无病呻吟[滑稽]1.导出图片数据2.将数据存入ROM中3.程序设计插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导出导入无病呻吟[滑稽]首先说一下我用的板子,是XILINX的zynq-7020,这也是我学习的第一块FPGA板子,我知道这块板子的难度是很高的,我

基于FPGA的UART 设计

2020-07-23 16:29 | 评论:0 次 | 浏览: 0

基于FPGA的UART设计顶层设计顶层设计// A code blockvar foo = ‘bar’

基于FPGA的CRC32_8原理与实现

2020-07-29 17:03 | 评论:0 次 | 浏览: 0

最近在开发万兆网mac,由于发送和接收要在数据的尾端添加或者校验CRC32_64,决定写一篇关于CRC的文章,此博客的意义在于帮助自己和大家理解FPGA并行CRC的实现方式,为了简单说明,以CRC32_8为例讲解。1、CRC32_8生成多项式:CRC32=X32+X26+X23+X22+X16+X12+X11+X10+X8+X7+X5+X4+X2+X1+12、CRC校验原理(1)将发送数据左移K位,右侧补零(其中K为生成多项式最高次幂);(2)用移位补零后的数据对G(x)进行模2除法(其实就是异或运

zyqn7000开发板学习笔记

2020-07-29 17:39 | 评论:0 次 | 浏览: 0

zyqn7000开发板学习笔记(一)

FPGA点灯大法(代码和结果)

2020-08-11 17:59 | 评论:0 次 | 浏览: 0

写在前面QAQ,折腾FPGA好几天,才想起来,有个Flag还没做——点灯,于是就折腾了下。原理啥的就不说了,直接贴代码和结果。Verilog`timescale 1ns / 1psmodule light_led(RST_N, //复位信号,低电平CLK, //时钟信号LED //LED信号);input RST_N;input CLK;output LED;reg [31:0] Counter;reg LED;//脉冲计数器always @(posedge CLK

FPGA学习笔记——串口回环实验

2020-08-12 09:53 | 评论:0 次 | 浏览: 0

串口回环实验串口大家应该都不陌生,总共一收一发两根线,很多FPGA也自带usb转串口芯片,今天做一个pc上位机将数据通过串口发给FPGA,FPGA直接将数据发回给pc端的串口环回实验。波特率波特率bps指的是串口一秒内能发多少个bit位,常见的波特率有9600、38400、115200等,这是PC与FPGA使用串口通信所约定好的一个速率。比如FPGA的时钟频率是50MHz,那么一秒钟就有50M个时钟周期,我又需要一秒钟发送9600个bit位,所以用50M除以9600得到大约5208,也就是说一个bit

Xilinx 7系FPGA LVDS使用要注意了,供电不能搞错

2020-08-12 10:07 | 评论:0 次 | 浏览: 0

最近新做了一块板子,用到Spartan 7芯片对前级视频源叠加OSD菜单,前级会将HMDI转成LVDS送给FPGA处理,在原理图设计阶段没有仔细阅读fpga手册,导致LVDS BANK供电错误,应该接2.5V,实际接3.3V,且BANK供电没有用磁珠隔开,整板3.3V铺在一个平面,导致fpga lvds无法工作。搜集了相关资料,这里做下小结,避免后续再犯类似错误。-----------------------------------------------------------------------

FPGA学习记录_流水灯实验

2020-08-12 10:27 | 评论:0 次 | 浏览: 0

流水灯实验作为一个经典的入门实验,其地位堪比编程界的 “ Hello World ” 。对于许多同学来说,流水灯都是他们在硬件上观察到的第一个实验现象。流水灯是指多个LED灯按照一定的时间间隔,顺序点亮并熄灭,周而复始形成流水效果。本文我将记录我学习使用FPGA做流水灯实验的过程。1 实验内容 本次实验的内容就是使开发板上的四个LED灯以0.5秒的间隔按顺序...

Vivado学习笔记四

2020-08-12 11:10 | 评论:0 次 | 浏览: 0

Vivado程序固化初玩FPGA开发板,会遇到这种情况,事先写好的程序编译成功后,下载到板子里,随着掉电之后,程序也就随之消失,再次上电,又要重新编译下载程序。所以学会固化程序十分重要!目的简介:将FPGA的配置文件(固化用的配置文件是二进制文件,仅bin文件)烧写到板载Flash中,实现上电自启动,完成程序固化过程步骤:1)在Vivado软件里找到Settings设置选项,进入,点击Bitstream选项,将 bin_file 勾上,点击 OK2)点击 Generate Bitstream

移动技术网